Diferencia entre revisiones de «Programación en VHDL/Entidad»

Contenido eliminado Contenido añadido
Línea 28:
</source>
 
La instrucción '''GENERIC''' define y declara propiedades o constantes del módulo. Las constantes declaradas en esta sección son como los parámetros en las funciones de cualquier otro lenguaje de programación, por lo que es posible introducir valores, en caso contrario tomará los valores por defecto que se le hubiera asignado por defecto. Para declarar una constante se indicará su nombre seguido de dos puntos y el tipo del que se trata, finalmente se indicará el valor al que es inicializado mediante el operador de asignación ''':='''. En el caso que existan más constantes se terminará con un punto y coma, la última constante no lo llevará.
 
nombre_constante : tipo := inicializacion;