Diferencia entre revisiones de «Programación en VHDL/Otros conceptos»

Contenido eliminado Contenido añadido
Ampliacion de otros conceptos
Línea 90:
===Lectura y escritura de ficheros===
 
Una vez que se ha declarado un fichero es posible realizar diferentes operaciones como son la lectura, la escritura o la propia comprobación de fin de archivo. Estas operaciones pueden ser realizadas a través de unos subprogramas que se detallan a continuación.
 
<source lang=vhdl>
PROCEDURE read(FILE f: tipo_archivo; value: OUT tipo);
PROCEDURE write(FILE f: tipo_archivo; value: IN tipo);
PROCEDURE endfile(FILE f: tipo_archivo) RETURN boolean;
</source>
 
El siguiente código muestra un ejemplo de como utilizar estos procedimientos.
 
----
<source lang=vhdl>
TYPE type_arch IS FILE OF integer;
FILE arch : type_arch OPEN read_mode IS "arch.txt";
VARIABLE n : integer;
.....
WHILE NOT endfile(arch) LOOP
read(arch, n);
....
END LOOP;
</source>
----
 
===Ficheros de texto===
 
Trabajar con ficheros es útil, pero hay que recordar que VHDL codifican los datos de forma binaria, lo que implica que es muy poco legible. Por lo tanto, debe haber una manera de ''traducir'' los datos de forma que el lenguaje pueda entenderlos, y para el usuario sea fácil de escribir dichos archivos. El paquete ''textio'' permite la conversión de tipos.
 
{{Navegador|libro=Programación en VHDL