Historial de revisiones de «Programación en VHDL/Ejemplos/Multiplexor»

Selección de diferencias: marca los botones correspondientes a las versiones a comparar y pulsa Intro o el botón de abajo.
Leyenda: (act) = diferencias con la versión actual, (ant) = diferencias con la versión anterior, m = edición menor.

9 nov 2012

16 abr 2010

8 abr 2010

22 nov 2009

1 oct 2009

17 sep 2009

14 dic 2007

18 nov 2007

  • actant 12:5512:55 18 nov 200789.131.88.18 discusión 288 bytes +288 Página nueva: library IEEE; use IEEE.STD_LOGIC_1164.all; entity MUX is :port (a, b ,sel: in bit; f : out bit); end MUX; architecture rtl of MUX is begin :--signal sel, a, b : std_logic; :process ...